HOME / ¹®¼­°øÀ¯ / /

VHDL Quick Start º¸°í¼­(¿µ¹®) - ½æ³×ÀÏ 1page
1/42
  • 1 page
  • 2 page
  • 3 page
  • 4 page
  • 5 page
  • 6 page
  • 7 page
  • 8 page
  • 9 page
  • 10 page
  • 11 page
  • 12 page
  • 13 page
  • 14 page
  • 15 page
  • 16 page
  • 17 page
  • 18 page
  • 19 page
  • 20 page
  • 21 page
  • 22 page
  • 23 page
  • 24 page
  • 25 page
  • 26 page
  • 27 page
  • 28 page
  • 29 page
  • 30 page
  • 31 page
  • 32 page
  • 33 page
  • 34 page
  • 35 page
  • 36 page
  • 37 page
  • 38 page
  • 39 page
  • 40 page
  • 41 page
  • 42 page

VHDL Quick Start º¸°í¼­(¿µ¹®)

¼­½Ä¹øÈ£
TZ-SHR-924212
µî·ÏÀÏÀÚ
2018.09.03
ºÐ·®
42 page / 315.0 KB
Æ÷ÀÎÆ®
700 Point ¹®¼­°øÀ¯ Æ÷ÀÎÆ® Àû¸³¹æ¹ý ¾È³»
ÆÄÀÏ Æ÷¸Ë
Microsoft PowerPoint (ppt)
Èıâ Æò°¡

0

0°ÇÀÇ Èı⺸±â

µî·ÏÀÚ

mk** VIP

µî±Þº° ÇýÅú¸±â

VHDL Quick Start º¸°í¼­(¿µ¹®)¿¡ ´ëÇØ ±â¼úÇÑ ¸®Æ÷Æ® Âü°íÀÚ·áÀÔ´Ï´Ù.

  • Microsoft PowerPoint (ppt)Microsoft PowerPoint (ppt)
StartVHDLPPTQuick¿µ¹®PPT¿µ¹®
¿¬°ü ÃßõÀÚ·á
 VHDL Quick Start º¸°í¼­(¿µ¹®) #1    VHDL Quick Start º¸°í¼­(¿µ¹®) #2    VHDL Quick Start º¸°í¼­(¿µ¹®) #3
 VHDL Quick Start º¸°í¼­(¿µ¹®) #4    VHDL Quick Start º¸°í¼­(¿µ¹®) #5    VHDL Quick Start º¸°í¼­(¿µ¹®) #6
 VHDL Quick Start º¸°í¼­(¿µ¹®) #7    VHDL Quick Start º¸°í¼­(¿µ¹®) #8    VHDL Quick Start º¸°í¼­(¿µ¹®) #9
 VHDL Quick Start º¸°í¼­(¿µ¹®) #10    VHDL Quick Start º¸°í¼­(¿µ¹®) #11    VHDL Quick Start º¸°í¼­(¿µ¹®) #12
 VHDL Quick Start º¸°í¼­(¿µ¹®) #13    VHDL Quick Start º¸°í¼­(¿µ¹®) #14    VHDL Quick Start º¸°í¼­(¿µ¹®) #15
 VHDL Quick Start º¸°í¼­(¿µ¹®) #16    VHDL Quick Start º¸°í¼­(¿µ¹®) #17    VHDL Quick Start º¸°í¼­(¿µ¹®) #18
 VHDL Quick Start º¸°í¼­(¿µ¹®) #19    VHDL Quick Start º¸°í¼­(¿µ¹®) #20    VHDL Quick Start º¸°í¼­(¿µ¹®) #21
 VHDL Quick Start º¸°í¼­(¿µ¹®) #22    VHDL Quick Start º¸°í¼­(¿µ¹®) #23    VHDL Quick Start º¸°í¼­(¿µ¹®) #24
 VHDL Quick Start º¸°í¼­(¿µ¹®) #25    VHDL Quick Start º¸°í¼­(¿µ¹®) #26    VHDL Quick Start º¸°í¼­(¿µ¹®) #27
 VHDL Quick Start º¸°í¼­(¿µ¹®) #28    VHDL Quick Start º¸°í¼­(¿µ¹®) #29    VHDL Quick Start º¸°í¼­(¿µ¹®) #30
 VHDL Quick Start º¸°í¼­(¿µ¹®) #31    VHDL Quick Start º¸°í¼­(¿µ¹®) #32    VHDL Quick Start º¸°í¼­(¿µ¹®) #33
 VHDL Quick Start º¸°í¼­(¿µ¹®) #34    VHDL Quick Start º¸°í¼­(¿µ¹®) #35    VHDL Quick Start º¸°í¼­(¿µ¹®) #36
 VHDL Quick Start º¸°í¼­(¿µ¹®) #37    VHDL Quick Start º¸°í¼­(¿µ¹®) #38    VHDL Quick Start º¸°í¼­(¿µ¹®) #39
 VHDL Quick Start º¸°í¼­(¿µ¹®) #40    VHDL Quick Start º¸°í¼­(¿µ¹®) #41    VHDL Quick Start º¸°í¼­(¿µ¹®) #42

  vhdl quick start  *    vhdl quick start  *  objective  quick introduction to vhdl  basic language concepts  basic design methodology  use the student¡¯s guide to vhdl  or the designer¡¯s guide to vhdl  self-learning for more depth  reference for project work  *    vhdl quick start  *  modeling digital systems  vhdl is for writing models of a system  reasons for modeling  requirements specification  documentation  testing using simulation  formal verification  synthesis  goal  
most reliable design process, with minimum cost and time
avoid design errors!
*    vhdl quick start  *  domains and levels of modeling  high level of abstraction  functional  structural  geometric  ¡°y-chart¡± due to gajski & kahn  low level of abstraction  *    vhdl quick start  *  domains and levels of modeling  functional  structural  geometric  ¡°y-chart¡± due to gajski & kahn  algorithm  (behavioral)  register-transfer  language  boolean equation  differential equation  *     1998, peter j.ashenden  vhdl quick start  *  domains and levels of modeling  functional  structural  geometric  ¡°y-chart¡± due to gajski & kahn  processor-memory  switch  register-transfer  gate  transistor  *    vhdl quick start  *  domains and levels of modeling  functional  structural  geometric  ¡°y-chart¡± due to gajski & kahn  polygons  sticks  standard cells  floor plan    vhdl quick start  *  basic vhdl concepts  interfaces  behavior  structure  test benches  analysis, elaboration, simulation  synthesis     1998, peter j.ashenden  vhdl quick start  *  modeling interfaces  entity declaration  describes the input/output ports of a module  entity reg4 is  port ( d0, d1, d2, d3, en, clk : in bit;  q0, q1, q2, q3 : out bit );  end entity reg4;  entity name  port names  port mode (direction)  port type  reserved words  punctuation     1998, peter j.ashenden  vhdl quick start  *  vhdl-87  omit entity at end of entity declaration  entity reg4 is  port ( d0, d1, d2, d3, en, clk : in bit;  q0, q1, q2, q3 : out bit );  end reg4;     1998, peter j.ashenden  vhdl quick start  *  modeling behavior  architecture body  describes an implementation of an entity  may be several per entity  behavioral architecture  
describes the algorithm performed by the module
contains  process statements, each containing  sequential statements, including  signal assignment statements and  wait statements     1998, peter j.ashenden  vhdl quick start  *  behavior example  architecture behav of reg4 is  begin  storage : process is  
variable stored d0, stored d1, stored d2, stored d3 : bit;
begin  if en 1 and clk 1 then  stored d0 : d0;  stored d1 : d1;  stored d2 : d2;  stored d3 : d3;  end if;  q0 < stored d0 after 5 ns;  q1 < stored d1 after 5 ns;  q2 < stored d2 after 5 ns;  q3 < stored d3 after 5 ns;  wait on d0, d1, d2, d3, en, clk;  end process storage;  end architecture behav;     1998, peter j.ashenden  vhdl quick start  *  vhdl-87  omit architecture at end of architecture body  omit is in process statement header  architecture behav of reg4 is  begin  storage : process  
.
begin  
.
end process storage;  end behav;     1998, peter j.ashenden  vhdl quick start  *  modeling structure  structural architecture  
implements the module as a composition of subsystems
contains  
signal declarations, for internal interconnections
the entity ports are also treated as signals  component instances  
instances of previously declared entity/architecture pairs
port maps in component instances  connect signals to component ports  wait statements     1998, peter j.ashenden  vhdl quick start  *  structure example     1998, peter j.ashenden  vhdl quick start  *  structure example  
first declare d-latch and and-gate entities and architectures
entity d latch is  port ( d, clk : in bit; q : out bit );  end entity d latch;  architecture basic of d latch is  begin  latch behavior : process is  begin  if clk ¡®1¡¯ then  q < d after 2 ns;  end if;  wait on clk, d;  end process latch behavior;  end architecture basic;  entity and2 is  port ( a, b : in bit; y : out bit );  end entity and2;  architecture basic of and2 is  begin  and2 behavior : process is  begin  y < a and b after 2 ns;  wait on a, b;  end process and2 behavior;  end architecture basic;     1998, peter j.ashenden  vhdl quick start  *  structure example  now use them to implement a register  architecture struct of reg4 is  signal int clk : bit;  begin  bit0 : entity work.d latch(basic)  port map ( d0, int clk, q0 );  bit1 : entity work.d latch(basic)  port map ( d1, int clk, q1 );  bit2 : entity work.d latch(basic)  port map ( d2, int clk, q2 );  bit3 : entity work.d latch(basic)  port map ( d3, int clk, q3 );  gate : entity work.and2(basic)  port map ( en, clk, int clk );  end architecture struct;     1998, peter j.ashenden  vhdl quick start  *  vhdl-87  
can¡¯t directly instantiate entity/architecture pair
instead  
include component declarations in structural architecture body
templates for entity declarations  instantiate components  write a configuration declaration  
binds entity/architecture pair to each instantiated component
   1998, peter j.ashenden  vhdl quick start  *  structure example in vhdl-87  
first declare d-latch and and-gate entities and architectures
entity d latch is  port ( d, clk : in bit; q : out bit );  end d latch;  architecture basic of d latch is  begin  latch behavior : process  begin  if clk ¡®1¡¯ then  q < d after 2 ns;  end if;  wait on clk, d;  end process latch behavior;  end basic;  entity and2 is  port ( a, b : in bit; y : out bit );  end and2;  architecture basic of and2 is  begin  and2 behavior : process  begin  y < a and b after 2 ns;  wait on a, b;  end process and2 behavior;  end basic;     1998, peter j.ashenden  vhdl quick start  *  structure example in vhdl-87  
declare corresponding components in register architecture body
architecture struct of reg4 is  component d latch  port ( d, clk : in bit; q : out bit );  end component;  component and2  port ( a, b : in bit; y : out bit );  end component;  signal int clk : bit;     (ÀÌÇÏ »ý·«)

¹ÞÀº º°Á¡

0/5

0°³ÀÇ º°Á¡

¹®¼­°øÀ¯ ÀڷḦ µî·ÏÇØ ÁÖ¼¼¿ä.
¹®¼­°øÀ¯ Æ÷ÀÎÆ®¿Í Çö±ÝÀ» µå¸³´Ï´Ù.

Æ÷ÀÎÆ® : ÀÚ·á 1°Ç´ç ÃÖ´ë 5,000P Áö±Þ

Çö±Ý : ÀÚ·á 1°Ç´ç ÃÖ´ë 2,000¿ø Áö±Þ

ÈıâÀÛ¼º»ç¿ëÈı⸦ ÀÛ¼ºÇÏ½Ã¸é ¹®¼­°øÀ¯ 100 point¸¦ Àû¸³ÇØ µå¸³´Ï´Ù.

¼­½Äº°Á¡ ¡Ù¡Ù¡Ù¡Ù¡Ù

0/120

»ç¿ëÈıâ (0)

µî·ÏµÈ ¸®ºä°¡ ¾ø½À´Ï´Ù.

ù¹ø° ¸®ºä¾î°¡ µÇ¾îÁÖ¼¼¿ä.

ÀÌÀü1´ÙÀ½